Xilinx Vivado的使用详细介绍(4):Zedboard+vivado之流水灯(加SDK)

Vivado+zedboard之初学流水灯

Author:zhangxianhe

环境:vivado 2016.3(已验证适用于2015.4)

开发板:Zedboard version xc7z020clg484-1

实验:使用Vivado和SDK进行Zedboard开发,制作一个简单的流水灯程序以说明软硬件协同设计的方法、开发流程等。

本文将分为三个部分:

1. 使用Vivado创建一个工程,并构建一个Zynq嵌入式处理系统。

2. 在上述基础上,将完成后的硬件导入到SDK中进行软件设计。

3. 最后下载到ZedBoard上进行调试。

具体步骤如下:

1. 使用Vivado创建工程

1.1新建工程

  1).鼠标左键双击Vivado2016.3图标,打开Vivado 2016.3;

  2).单击Create New Project创建一个新的工程;

  3).单击Next执行下一步;

  4).选择工程所在的位置,并输入工程名ledflow,单击Next;

  5).在Vivado中新建一个RTL工程,暂不添加文件(勾选 Do not specify sources at this time),后面需要的时候添加,单击Next;

  6).这一步要注意,在左上角Select处选择Boards,选择ZedBoard Zynq Evaluation and Development Kit version D器件,单击Next;

  7).单击Finish

  8).等待软件根据设定新建一个RTL工程。

这样新建工程的步骤就完成了,下面进行硬件设计步骤;

1.2 Vivado硬件设计

  1). 单击Create Block Design,创建并添加IP核;

  2).输入工程名led,单击OK;

  3).等待软件Create Block Design

  4).Add IP.右边空白处一般会提示This design is empty. To get started, Add IP from the catalog,单击 Add IP会出现IP的目录,如果此处没有提示,可以单击Diagram左边框的Add IP添加;

  5). 在目录Search中输入ZYNQ7 Processing System;

  6).双击ZYNQ7 Processing System完成IP核的添加;

  7).等待软件Add IP,接下来工程窗上部分会出现Run Block Automation,点Run Block Automation,直接点OK。

  8).接下来继续添加IP,Add Ip 并在在搜索中寻找AXI GPIO,双击添加。

  9).完成后继续点Run Connect Automation,出现窗口先打钩S_AXI,右边设置保持不变,直接点OK。之后继续点run connect automation,打钩剩下的,注意此时右侧选择leds_8bits(LED)。之后OK.点击Diagram的Regenerate Layout,重新布局,完成后出现如下界面。

Xilinx Vivado的使用详细介绍(4):Zedboard+vivado之流水灯(加SDK)

 

1.3硬件处理

  1). Tools >Validate Design

  2).等待软件运行,运行后的界面如下:

Xilinx Vivado的使用详细介绍(4):Zedboard+vivado之流水灯(加SDK)

 

  3).单击OK;

  4).Right-Click the Top-level Subsystem Design->Generate Output Products,默认设置,直接点generate,运行结束后,点击OK。

  5). Right-Click the Top-level Subsystem Design->Create HDL Wrapper,选择第二项 Let Vivado manage Wrapper and auto-update,点击OK,

  6). 在左侧Flow Navigator中最下边,单击Generate Bitstream,点击save(如果有提示)然后单击Yes,直接生成比特流文件。执行此过程首先会自动进行分析综合和实现。(这里也可以直接先点击Run Synthesis进行综合,然后点击Run Implementation进行实现,然后再点击Generate Bitstream生成比特流) 需要等待运行的时间比较长,耐心等待;

  7).运行完成后,会自动弹出对话框,选择Open Implemented Design,单击OK:

Xilinx Vivado的使用详细介绍(4):Zedboard+vivado之流水灯(加SDK)

  8).运行完成后的界面如下:

 

Xilinx Vivado的使用详细介绍(4):Zedboard+vivado之流水灯(加SDK)

 

  9).在关联到SDK时,需要将Package和Device都打开,如果运行后只是自动打开了Device,需要在Flow Navigator下找到Synthesis并在其下点击Open Synthesized Design来打开Package,单击NO,将Package和Device同时显示出来;

  10).单击Open Block Design to invoke the IP integrator design

  11).准备两条USB线,一条连接 micro-usb cable between the PC and the PROG port of the board;另外一条 micro-usb cable between the PC and the UART port of the board,打开Zedboard板的电源,如下图所示,打开电源之后POWER灯会亮;

Xilinx Vivado的使用详细介绍(4):Zedboard+vivado之流水灯(加SDK)

 

 

  12).单击左侧导航窗口最下方Hardware Manager中的Open Target,然后选择Auto Connect

内容版权声明:除非注明,否则皆为本站原创文章。

转载注明出处:https://www.heiqu.com/wpgdsw.html